EUVL

EUVL

EUV-Lithografie (auch kurz EUVL, Abkürzung für engl.: Extreme Ultra Violet) ist ein Fotolithografie-Verfahren, das Strahlung mit einer Wellenlänge von 13,5 Nanometer (91,82 eV) nutzt (vgl. Ultraviolettstrahlung). Dies soll es ermöglichen, auch zukünftig die Strukturverkleinerung in der Halbleiterindustrie fortzusetzen, um kleinere, effizientere, schnellere und günstigere integrierte Schaltkreise herstellen zu können.

Inhaltsverzeichnis

Hintergrund

Die EUV-Lithografie kann als Weiterführung der optischen Lithografie mit kleineren Wellenlängen angesehen werden. Der Technologiesprung von der derzeit verwendeten 193-nm-Technologie zu 13,5 nm erfordert die Lösung einer ganzen Reihe technischer Probleme. Im Februar 2008 präsentierten IBM und AMD die erste vollständige Belichtung eines Wafers mit EUVL mit einem realen Chip in 45-nm-Technik. Technische Details wurden nicht veröffentlicht.[1]

EUV-Lithografiesysteme

Ein EUV-Lithografiesystem besteht vereinfacht aus folgenden Elementen:

  • Strahlungsquelle mit Debrisschutz und Kollektor
  • abbildende Optik und Maske
  • Wafer mit Fotolack

Strahlungsquelle

EUV-Strahlung wird bei der Erzeugung von Plasmen frei. Solche Plasmen werden in Gasen durch starke elektrische Entladungen (engl. gas discharge produced plasma, GDPP) oder durch Fokussierung von Laserstrahlung (engl. laser produced plasma, LPP) erzeugt. Je nach Art des Mediums liegt ein Teil des emittierten Strahlungsspektrums im gewünschten Bereich von 2 % Bandbreite der Zentralwellenlänge 13,5 nm. Als Medium wurde zunächst Xenon verwendet, wegen einer höheren Konversionseffizienz konnte sich Zinn durchsetzen.

Debrisschutz

Das in der Strahlungsquelle erzeugte Plasma besteht aus Ionen und Elektronen, die sich mit großer Geschwindigkeit bewegen. Um zu verhindern, dass diese Schäden an den verwendeten Optiken verursachen, werden solche Teilchen abgefangen (Folienfallen, Puffergas) oder es werden Reinigungsverfahren (chemische Prozesse oder thermische Verfahren) für betroffene Optiken angewendet.

Kollektor

Das Plasma in der Quelle emittiert Strahlung in alle Raumrichtungen. Damit diese Strahlung für einen Belichtungsprozess nutzbar wird, muss ein möglichst großer Teil davon durch eine Sammeloptik (Kollektor) in Richtung der eigentlichen Lithografieanlage reflektiert werden. Für Quellen nach dem GDPP-Prinzip werden angepasste Wolter-Teleskope verwendet, in denen die Strahlung unter streifendem Einfallswinkel reflektiert wird. Für LPP-Quellen kommen Mehrschichtspiegel bei nahezu senkrechtem Einfallswinkel zum Einsatz.

Als optische Schnittstelle zur Lithografieanlage ist ein Zwischenfokus definiert (engl.: intermediate focus, IF), an dem mindestens einhundert Watt EUV-Strahlung (2 % Bandbreite) zur Verfügung gestellt werden müssen.

Abbildende Optik und Maske

Durch ein komplexes optisches System von sechs oder mehr Spiegeln wird die Strahlung für den eigentlichen Belichtungsprozess vorbereitet. Für EUV-Strahlung kommen sogenannte Mehrschichtspiegel (engl. multilayer mirror) zum Einsatz, die aus einer großen Anzahl (z. B. 50) von Molybdän/Silizium-Schichtpaaren bestehen. Einer Alterung der Spiegel durch Diffusion der Atome von einer Schicht in eine andere kann durch Zwischenschichten von nur einige Atomlagen Dicke vorgebeugt werden. Die Oberfläche des Mehrschichtspiegels wird durch eine Schutzschicht (engl. capping layer) geschützt. Die Anforderungen an die Ebenheit der Spiegelsubstrate und die Qualität der Schichten sind enorm und stellen technologische Herausforderungen dar. Die theoretisch erreichbare Reflektivität dieser Spiegel liegt bei etwa 70 %, in einem 6-Spiegelsystem gehen also mehr als 90 % der Strahlung an diesen Spiegeln verloren.

Da für EUV-Strahlung keine transparenten Medien zur Verfügung stehen, wird die Lithografiemaske ebenfalls als Mehrschichtspiegel ausgeführt, der in seiner Oberfläche ein Bild der herzustellenden Strukturen trägt. Eine besondere Schwierigkeit liegt in der defektfreien Ausführung dieser Optik.

Wafer und Fotolack

Von der Maske wird die Strahlung auf den mit einem geeigneten Fotolack beschichteten Wafer reflektiert. Die chemischen Eigenschaften des Fotolacks bestimmen wesentlich die Qualität der herstellbaren Strukturen. Gewünscht ist eine hohe Empfindlichkeit für EUV Strahlung, eine hohe Auflösung und eine geringe Kantenrauigkeit. Der Fotolack wird nach der Belichtung in einer Prozesskette entwickelt, um schließlich die gewünschten Strukturen zu erhalten.

Probleme

Die Verringerung der Wellenlänge bringt eine Anzahl technologischer Änderungen mit sich. Mit seiner sehr kurzen Wellenlänge von 13,5 nm wird die EUV-Strahlung schon von Luft und von den meisten Materialien vollständig absorbiert. Die mittlere Absorptionslänge in Luft beträgt weniger als einen Millimeter, somit wird die Verwendung von Hochvakuum unumgänglich.

Die hohe Absorption bringt es mit sich, dass keine refraktiven Optiken, z. B. Linsen, verwendet werden können, stattdessen müssen Spiegeloptiken eingesetzt werden. Die Masken müssen ebenfalls stark reflektierende (rund 70 %) Oberflächen besitzen und unterscheiden sich somit von den konventionellen Belichtungsmasken.

Des Weiteren stellt die EUV-Technologie sehr viel höhere Anforderungen an die Oberflächenrauheit (± 0,25 nm) aufgrund der Rayleigh-Streuung sowie an die Formtreue der Masken und der zu belichtenden Materialien. Das erforderliche Auflösungsvermögen und die Empfindlichkeit der Fotolacke (engl. resist) stellen weitere Herausforderungen dar.

Ein wesentliches Problem ist, dass die Erzeugung der hohen erforderlichen EUV-Strahlungsleistung technisch noch nicht gelöst ist; es werden mehr als 100 W am Zwischenfokus benötigt.

Roadmap

Es wird davon ausgegangen, dass die Technologie ab einem Durchsatz von wenigstens 100 Wafer/Stunde wirtschaftlich wird. Sofern die technischen Herausforderungen rechtzeitig gelöst werden können, wird erwartet, dass die EUV-Lithografie bis 2016 für Strukturgrößen von 22 nm (sogenannter 22-nm-Node [2]) zur Verfügung steht.

Einzelnachweise

  1. Yahoo-News vom 26.02.2008, 11:00 AM ET: AMD Testing Functional Devices Made Using Extreme Ultra-Violet (EUV) Lithography on Test Chip
  2. International Technology Roadmap for Semiconductors, Executive Summary 2005

Literatur

  • Banqiu Wu, Ajay Kumar: Extreme ultraviolet lithography: A review. In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 25, Nr. 6, 2007, S. 1743–1761 (doi:10.1116/1.2794048). 
  • Uwe Stamm: Extreme ultraviolet light sources for use in semiconductor lithography—state of the art and future development. In: Journal of Physics D: Applied Physics. 37, Nr. 23, 2004, S. 3244–3253 (doi:10.1088/0022-3727/37/23/005). 

Weblinks


Wikimedia Foundation.

Игры ⚽ Нужна курсовая?

Schlagen Sie auch in anderen Wörterbüchern nach:

  • EUVL — Extreme Ultra Violet Lithography (Governmental » NASA) Extreme Ultra Violet Lithography (Governmental » US Government) Extreme Ultra Violet Lithography (Academic & Science » Electronics) Extreme Ultra Violet Lithography (Governmental » Military) …   Abbreviations dictionary

  • EUVL — ● ►en sg. f. ►ELECTRON Extreme Ultra Violet Lithography. Lithographie utilisant des rayons ultra violets pour tracer des circuits. L intérêt est que la longueur d onde utilisée est plus courte que celle de la lithographie optique, ce qui permet… …   Dictionnaire d'informatique francophone

  • EUVL — abbr. Extreme UltraViolet Lithography comp. abbr. Extreme Ultraviolet Lithography …   United dictionary of abbreviations and acronyms

  • Extreme ultraviolet lithography — (also known as EUV or EUVL ) is a next generation lithography technology using the 13.5 nm EUV wavelength. EUVL opticsEUVL is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV… …   Wikipedia

  • Фотолитография в глубоком ультрафиолете — Схема работы литографии в EUV. Фотолитография в глубоком ультрафиолете (Extreme ultraviolet lithography, EUV, EUVL …   Википедия

  • EUV-Lithografie — (auch kurz EUVL) ist ein Fotolithografie Verfahren, das elektromagnetische Strahlung mit einer Wellenlänge von 13,5 nm (91,82 eV) nutzt, sogenannte extrem ultraviolette Strahlung (englisch extreme ultra violet, EUV). Dies soll es… …   Deutsch Wikipedia

  • EUV-Lithographie — EUV Lithografie (auch kurz EUVL, Abkürzung für engl.: Extreme Ultra Violet) ist ein Fotolithografie Verfahren, das Strahlung mit einer Wellenlänge von 13,5 Nanometer (91,82 eV) nutzt (vgl. Ultraviolettstrahlung). Dies soll es ermöglichen, auch… …   Deutsch Wikipedia

  • Multiple patterning — is a class of technologies developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected number of …   Wikipedia

  • ASML — Holding N.V. Тип публичная (Euronext: ASML, NASDAQ: ASML Год основания …   Википедия

  • Photoresist — A photoresist is a light sensitive material used in several industrial processes, such as photolithography and photoengraving to form a patterned coating on a surface. Contents 1 Photoresist categories 1.1 Tone 1.2 Developing light wavelength …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”