Picoblaze

Picoblaze

Der PicoBlaze ist ein ausschließlich in FPGAs der Firma Xilinx verwendbarer Mikrocontroller. Dieses Mikrocontroller existiert nicht als physische Hardware, sondern ist in den Hardwarebeschreibungssprachen VHDL und Verilog als sogenannter Softcore verfügbar. Durch die spezielle Optimierung auf die Besonderheiten bestimmter FPGA-Bausteine der Firma Xilinx ist der Logikbedarf dieses Mikrocontrollers sehr gering. Nachteilig ist, dass durch die Optimierung und durch rechtliche Beschränkungen PicoBlaze nur auf FPGAs der Firma Xilinx verwendet werden kann bzw. darf.

Der PicoBlaze basiert auf einer 8-Bit RISC-Architektur und arbeitet mit einer Geschwindigkeit von bis zu 100 MIPS. Der PicoBlaze besitzt je einen 8-Bit breiten Ein- und Ausgabeport, die über eine 8-Bit-Port-ID adressiert werden können, woraus sich 256 Ein- und Ausgabeports ergeben. Seine Stärke ist der Einsatz als Finite State Machine, wobei diese aber nicht besonders zeitkritisch sein sollte: Implementierung von Finite State Machines direkt in der Hardwarebeschreibungssprache sind je nach Umfang der Zustände stark größenabhängig, was durch den Einsatz von PicoBlaze vermieden werden kann. Dadurch vereinfacht sich die Entwicklung der restlichen Hardware.

Dieser Prozessor wird seiner Einfachheit wegen praktisch ausschließlich in Assembler programmiert, und Programme umfassen selten mehr als 1000 Zeilen Programmcode. Bei komplexeren Aufgaben sollten andere, leistungsfähigere Mikrocontroller wie der ebenfalls von Xilinx für FPGAs als Softcore verfügbare MicroBlaze verwendet werden.

Der PicoBlaze hieß ursprünglich KCPSM, was eine Abkürzung für "Constant(k) Coded Programmable State Machine" ist. Fälschlicherweise wird oft angenommen, KCPSM sei eine Abkürzung für "Ken Chapman's Programmable State Machine". [1] Ken Chapman ist der Entwickler des PicoBlaze bei Xilinx.

Befehlssatz

Der PicoBlaze besitzt einen kleinen Befehlssatz, der auf die wichtigsten Befehle begrenzt ist.

Programmfluss

  • JUMP
  • CALL
  • RETURN

Logik

  • AND
  • COMPARE
  • LOAD
  • OR
  • TEST
  • XOR

Arithmetik

  • ADD
  • ADDCY
  • SUB
  • SUBCY

Schiebe- und Rotationsbefehle

  • SR0
  • SR1
  • SRX
  • SRA
  • RR
  • SL0
  • SL1
  • SLX
  • SLA
  • RL

Ein-/Ausgabe

  • FETCH
  • INPUT
  • OUTPUT
  • STORE

Interrupt

  • RETURNI
  • ENABLE
  • DISABLE

Fast alle Befehle sind auf die 16 Register (s0-sF) und Konstanten anwendbar. Für weitere Details zu den Befehlen können die Application Notes zu Rate gezogen werden (siehe Weblinks).

Einzelnachweise

  1. http://forums.xilinx.com/xlnx/board/message?board.id=PicoBlaze&message.id=61

Weblinks


Wikimedia Foundation.

Игры ⚽ Поможем написать реферат

Schlagen Sie auch in anderen Wörterbüchern nach:

  • PicoBlaze — PicoBlaze  общее название серии свободно распространяемых Soft процессорных ядер, созданных фиромой Xilinx для своих ПЛИС (FPGA и CPLD). В основе PicoBlaze лежит архитектура 8 битного RISC процессора; скорость работы на ПЛИС семейства Virtex …   Википедия

  • PicoBlaze — is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on a RISC architecture of 8 bits and can reach speeds up to 100 MIPS on the Virtex 4 FPGA s family. The… …   Wikipedia

  • PicoBlaze — est la désignation d une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD. Ils sont basés sur une architecture RISC de 8 bits et peuvent atteindre une vitesse de 100 millions d instructions par… …   Wikipédia en Français

  • PicoBlaze — Als PicoBlaze wird eine ausschließlich in FPGAs und CPLDs der Firma Xilinx verwendbare Prozessorfamilie bezeichnet. Die Prozessoren existieren nicht als physische Hardware, sondern sind in den Hardwarebeschreibungssprachen VHDL und Verilog als… …   Deutsch Wikipedia

  • PacoBlaze — Le PacoBlaze est une implémentation en Verilog synthétisable du processeur softcore PicoBlaze de Xilinx, disponible sous la licence BSD. Le design comprend la configuration des 3 PicoBlaze dans un unique jeu de fichiers configurable. Le cœur a… …   Wikipédia en Français

  • PacoBlaze — is a synthesizable and behavioral Verilog implementation of Xilinx s PicoBlaze soft microcontroller core, and is available through the BSD License. The design includes the three PicoBlaze configurations in a single configurable set of files.The… …   Wikipedia

  • Processeur softcore — Un processeur softcore est un processeur (CPU) implémenté sur un système reprogrammable comme un FPGA. On parle alors de système sur puce programmable (System on Programmable Chip ou SoPC). Sommaire 1 Présentation 2 Liste de processeurs softcore… …   Wikipédia en Français

  • Soft-микропроцессор — Необходимо проверить качество перевода и привести статью в соответствие со стилистическими правилами Википедии. Вы можете помочь улучшить эту стать …   Википедия

  • Nios II — For School level education board in India, see National Institute of Open Schooling. Nios II Designer Altera Bits 32 bit Design RISC Endianness Little Open No …   Wikipedia

  • MicroBlaze — Designer Xilinx Bits 32 bit Version 8.20 Design RISC Encoding Fixed Endianness Big/Little …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”